CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 电梯

搜索资源列表

  1. Tdiaantikonggh

    0下载:
  2. 本设计是本人的课程设计,一种基于VHDL的电梯控制器的设计,能够实现12层电电梯控制,上下开关,关门延时,提前关门,状态显示出来,通过波形仿真进行观看结果 -The design is my curriculum design, based on VHDL design of the elevator controller to achieve the 12-layer electric elevator control, and switch up and down, closing de
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-06
    • 文件大小:69021
    • 提供者:一群舰队
  1. 4lifftzip-

    0下载:
  2. 4层电梯控制器(单向层层停控制方式)VHDL源程序源源码(绝对通,符详细注释) 可直接使用。 已通过测试。 -The four-story elevator controller (unidirectional layers of stop control) VHDL source code source code (through absolute character detailed notes) can be used directly. Has been tested.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-15
    • 文件大小:8607
    • 提供者:
  1. tthrreefliifth

    0下载:
  2. 三层电梯控制器VHDL源程序源码码,是本人的毕业设计 -The three-tier elevator controller VHDL source code source code, is my graduation project
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-03
    • 文件大小:1174
    • 提供者:leyuan
  1. (liftzip1

    0下载:
  2. (1)用VHDL实现四层电梯运行控制器。(2)电梯运行锁用一按钮代替(开锁上电),低电平可以运行,高电平不能运行。(3)每层电梯入口处设有上行、下行请求按钮,电梯内设有乘客到达层次的停站要求开关,高电电平有效。(4)有电梯所处楼层指示灯和电梯上行、下行状态指示灯。(5)电梯到达某一层时,该层指示灯亮,并一直保持到电梯到达另一层为止。电梯上行或下行时,相应状态指示灯亮。(6)电梯接收到停站请求后,每层 -(1) four-story elevator run controller using
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-01
    • 文件大小:290184
    • 提供者:thlqt
  1. Vdiantivhdlh

    0下载:
  2. 电梯的vhdl设计,6层楼含开开关门,警报,内部请求,外部请求 -Vhdl elevator design, six floors including the opening and closing the door, the alarm, the internal request, external request
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-26
    • 文件大小:162607
    • 提供者:干预
  1. floor_control

    0下载:
  2. 有详细的电梯模块划分及程序代码,是基于VHDL,应用在FPGA里-about floor control
  3. 所属分类:Project Design

    • 发布日期:2017-11-28
    • 文件大小:276248
    • 提供者:王二
  1. dianti

    0下载:
  2. VHDL语言编写,实现四层电梯的仿真,分别有上升、下降请求等-VHDL language to realize the simulation of the four elevators, were rising, declined requests
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:315163
    • 提供者:吴海梅
  1. Control-Lift

    0下载:
  2. 本程序是用来控制电梯的VHDL代码,没有通过具体调试,但是可以作为学习的参考。-This procedure is used to control the elevator VHDL code, no specific debugging, but can be used as a reference for learning.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:335006
    • 提供者:工程师
  1. lift

    0下载:
  2. 运用VHDL实现可控三层电梯 利用LED和点阵表示电梯的上下 与楼层显示-Use VHDL to achieve controllable three elevator use of LED and dot matrix, said the elevator up and down the floor display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:315590
    • 提供者:张正宽
  1. lift

    0下载:
  2. 这是我的短学期的课程设计,用VHDL实现两部三层电梯运行。两部电梯采用联动的运行方式,基本符合条件。并且添加了超载报警系统。希望能帮助到你们。-This is my short semester curriculum design, two three elevator operation using VHDL. Two elevators linkage operation mode, in line with the conditions. The design clear thinking
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:811035
    • 提供者:cxl
  1. sixlift

    0下载:
  2. 一个数字电路设计:六层电梯自动运行的VHDL程序-a digital circuit:sixlift design
  3. 所属分类:Other systems

    • 发布日期:2017-11-28
    • 文件大小:1963
    • 提供者:jeremy
  1. Elevator-controller

    0下载:
  2. 电梯控制器。VHDL。quartus ii 编译通过。代码正确可用。-Elevator controller。VHDL。quartus ii compiled. Correct code is available.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:302980
    • 提供者:ecnu
  1. electric-lift-controller

    0下载:
  2. VHDL编写的电梯控制系统。只有个大概框架程序-The elevator control system written in VHDL. Only a general framework program. ...
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:737486
    • 提供者:谢龙
  1. lift

    0下载:
  2. 4层电梯控制器(单向层层停控制方式)VHDL源程序(绝对通,符详细注释)-4-storey elevator controller (one-way stop control at each level) VHDL source code (absolute Tong, Fu detailed notes)
  3. 所属分类:Graph program

    • 发布日期:2017-04-25
    • 文件大小:8850
    • 提供者:swhole
  1. elevator_rc1

    0下载:
  2. 三层电梯控制器,易于扩展,有基本的优先级功能,有灯光提示,VHDL-Three floors elevator controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:834363
    • 提供者:husnmin
  1. elevator_fpga

    0下载:
  2. 基于VHDL的FPGA设计,设计一个4层楼的电梯控制系统。-VHDL-based FPGA design, design elevator control system of a four-storey building.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:627815
    • 提供者:shiyan chen
  1. fourlift

    0下载:
  2. vhdl环境下状态机实现的四层模拟电梯程序-environment under four simulated lift procedures vhdl state machine implemented
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-24
    • 文件大小:383373
    • 提供者:sx
  1. dianti

    0下载:
  2. 6层电梯设计,采用vhdl编写,能够实验电梯功能-6-story elevator design, using vhdl prepared, able to lift function experiments
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4454
    • 提供者:fandaowei
  1. sicendianti

    0下载:
  2. VHDL实现四层电梯的控制。状态机、编解码器、触发器、比较器。-Four layers of elevator control is realized by VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:261881
    • 提供者:徐高兵
  1. threelift

    0下载:
  2. 利用VHDL语言实现三层电梯的程序,模拟现实生活中电梯的工作原理-Three elevator use VHDL language program, simulate real life works elevator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:711992
    • 提供者:肖风丽
« 1 2 3 4 5 6 7 89 »
搜珍网 www.dssz.com